Why am I Getting UITE-461 Messages and Zero Source Latency

Why am I Getting UITE-461 Messages and Zero Source Latency


2024年5月17日发(作者:vivoy7s上市时间)

Question:

I have a design with an incoming clock CLK that I divide by two with the

following circuit:

Figure 1: Example Circuit With Divide-by-2 Logic

Here are clocks that I have defined:

create_clock -period 10 CLK

create_generated_clock

-name CLKdiv2

-divide_by 2

-source [get_ports CLK]

[get_pins Udiv/Q]

These clocks are reported by the report_clocks command as follows:

pt_shell> report_clocks

****************************************

Report : clock

...

****************************************

Attributes:

p - Propagated clock

G - Generated clock

I - Inactive clock

Clock Period Waveform Attrs Sources


发布者:admin,转转请注明出处:http://www.yc00.com/num/1715926042a2692936.html

相关推荐

发表回复

评论列表(0条)

  • 暂无评论

联系我们

400-800-8888

在线咨询: QQ交谈

邮件:admin@example.com

工作时间:周一至周五,9:30-18:30,节假日休息

关注微信