cadence仿真器的参数配置

cadence仿真器的参数配置


2024年4月8日发(作者:苹果6s上市的时候官网价多少)

-NO_TCHK_MSG -- Turn off timing check warnings

-SDF_NO_WARNINGS -- Do not report SDF warnings

Examples:

-- To elaborate my_:behav

% ncelab my_:behav

% ncelab my_

% ncelab top

-- To elaborate with informative messages

% ncelab -messages my_:behav

3. ncsim

ncsim [options] [lib.]cell[:view]

Options:

-FILE -- Load command line arguments from

-GUI -- Enter window mode before running simulation

-INPUT -- Script to be executed during initialization

-MESSAGES -- Specifies printing of informative messages

-NOCOPYRIGHT -- Suppresses printing of copyright banner

-NONTCGLITCH -- Suppress delayed net glitch suppression messages

-NOSTDOUT -- Turn off output to screen

-NOWARN -- Disables printing of the specified warning message

-SDF_NO_WARNINGS -- Do not report SDF warnings

Examples:

-- To simulate the snapshot my_:snap

% ncsim my_:snap

% ncsim my_

% ncsim top

-- To simulate while writing to the log file ./

% ncsim -log ./ my_:snap

-- To update the snapshot my_:snap and simulate

% ncsim -update my_:snap

4. ncverilog

ncverilog [all valid Verilog-XL arguments]

Supported Dash options:

-f Read host command arguments from file

Supported plus options:

+access+ Turn on Read, Write and/or Connectivity Access

+define+ Define a macro from commandline

+loadpli1= Specify the library_name:boot_routine(s) to dynamically load a PLI1.0 application from

commandline

+maxdelays Selects maximum delays for simulation

+mindelays Selects minimum delays for simulation

+typdelays Selects typical delays for simulation

+ncdumpports_format+ Specify EVCD format flag for $dumpports

+neg_tchk Allow negative values in SETUPHOLD & RECREM timing checks (default)

+noneg_tchk Disallow negative values in SETUPHOLD & RECREM timing checks

+nocopyright Suppresses printing of copyright banner

+no_notifier Notifiers are ignored in timing checks

+nosdfwarn Do not report SDF warnings

+nospecify Suppresses timing checks and path delays in specify blocks.

Ignore SDF annotations.

+nostdout Turn off output to screen(terminal)

+notchkmsg Turn off timing check warnings

+notimingcheck Don't execute timing checks

+nowarn+ Disables printing of the specified warning message

+sdf_nowarnings Do not report SDF warnings

5. ncsdfc

ncsdfc [options] sdf_file

Options:

-COMPILE -- Compile the specified SDF files (default)

-DECOMPILE -- Decompile the specified SDF files

-LOGFILE -- Specifies the file to contain log information

-MESSAGES -- Specifies printing of informative messages

-NOCOPYRIGHT -- Suppresses printing of copyright banner

-OUTPUT -- Redirects compiled SDF output to the specified file

-WORSTCASE_ROUNDING -- Truncate min delays, round max delays up

Examples:

-- To compile an SDF file:

% ncsdfc

Creates compiled SDF file .X

-- To specify a name for the compiled SDF file:

% ncsdfc -OUTPUT ed

Creates compiled SDF file ed

-- To decompile a compiled SDF file:

% ncsdf -DECOMPILE .X

Creates decompiled SDF file

-- To specify a name for the decompiled SDF file:

% ncsdfc -DECOMPILE .X -OUTPUT

Creates decompiled SDF file

6. nchelp

nchelp [options] tool error

nchelp [-cdslib | -hdlvar]

Examples:

-- To find help on the error CUVWSP from ncelab

% nchelp ncelab CUVWSP

-- To find help on the error BADCLP from ncvlog

% nchelp ncvlog BADCLP

-- To list the currently defined libraries

% nchelp -cdslib

-- To list the currently supported tools

% nchelp -tools

-- To list the help for all supported tools with the BADCLP mnemonic

% nchelp -all BADCLP


发布者:admin,转转请注明出处:http://www.yc00.com/num/1712566548a2081060.html

相关推荐

发表回复

评论列表(0条)

  • 暂无评论

联系我们

400-800-8888

在线咨询: QQ交谈

邮件:admin@example.com

工作时间:周一至周五,9:30-18:30,节假日休息

关注微信